Odpowiedz 
 
Ocena wątku:
  • 1 Głosów - 5 Średnio
  • 1
  • 2
  • 3
  • 4
  • 5
Synteza 4Z5KY na Si570
SP5BMP Offline
Janusz
****

Liczba postów: 601
Dołączył: 02-11-2010
Post: #41
RE: Synteza 4Z5KY na Si570
Gratuluję Robercie wyników. Sergiej 4Z5KY opracował swoją syntezę dla różnych enkoderów. Z mnożnikiem i bez. Proponuję sprawdzić działanie no_mult-enc_classic_SI570. Pozdrawiam, Janusz.
(Ten post był ostatnio modyfikowany: 27-06-2012 20:26 przez SP5BMP.)
27-06-2012 20:25
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP3RAF Offline
Robert
***

Liczba postów: 111
Dołączył: 02-07-2009
Post: #42
RE: Synteza 4Z5KY na Si570
Januszu, dziękuję za gratulacje Smile Wszystko działa, a właściwie to... działało OK, aż do momentu, kiedy na samym końcu prób "upaliłem" procesor Undecided Dawno nic nie popsułem, więc musi być "równowaga w przyrodzie". Dobrze, że to tylko Atmel, a nie Si570 ;-)
Zwarłem przypadkowo "coś" radiatorkiem stabilizatora 5V, manipulując układem "na kabelkach". Synteza się ładnie przedstawia, ale później już nie che ze mną gadać. Jakoś się specjalnie nie martwię - syntez ci u mnie dostatek Wink A eksperymenty są bezcenne. Będzie zaprogramowany nowy kontroler i znowu będzie zabawa.
Sprawdzałem (zdążyłem, przed uwaleniem procka) pracę bez mnożnika i wszystko jest w porządku.
Andrzeju, wielce to z tematem nie walczyłem. Był problem i go rozwiązałem. Sugestie Kolegów okazały się trafne. Schemacików nie rysuję, bo wszystko opisałem. Układ właściwie się nie zmienił.

Pozdrowienia!
Robert SP3RAF
Po ostatnim niefortunnym wypadku przy pracy znowu cieszę się syntezą Smile Zakupiłem i zaprogramowałem nowe mikrokontrolery (tym razem na zapas aż trzy Big Grin) i wszystko już działa poprawnie jak dawniej.

Miłego weekendu,
Robert SP3RAF
(Ten post był ostatnio modyfikowany: 29-06-2012 17:45 przez SP3RAF.)
27-06-2012 23:53
Odwiedź stronę użytkownika Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SQ7GVU Offline
Marcin
***

Liczba postów: 158
Dołączył: 19-06-2011
Post: #43
RE: Synteza 4Z5KY na Si570
Witam,

Wykonalem sterownik tej syntezy wg pierwszego postu. Synteza pracowala dosc wolno i po ustawieniu Fuse bitow zaczela sie zglaszac dos szybko. Niestety nie wyswietla sie czestotliwosc od razu, wskakuje dopiero po jakims czasie. Brak reakcji na przestrajanie enkoderem, badz naciskanie klawiszy. Podlaczone sa tylko enkoder i klawiatura. Czy sterownik wymaga wlutowanego Si570? Jak narazie jest nie wlutowany, bo troche sie balem :-) Jakies pomysly??

Marcin
23-07-2012 20:06
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP5BMP Offline
Janusz
****

Liczba postów: 601
Dołączył: 02-11-2010
Post: #44
RE: Synteza 4Z5KY na Si570
Ten sterownik sprawdza komunikację z Si570. Proponuję go wlutować. Pozdrawiam, Janusz.
(Ten post był ostatnio modyfikowany: 23-07-2012 21:20 przez SP5BMP.)
23-07-2012 21:20
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SQ7GVU Offline
Marcin
***

Liczba postów: 158
Dołączył: 19-06-2011
Post: #45
RE: Synteza 4Z5KY na Si570
Zadzialalo, dziekuje. Smiga jak trzeba. Teraz pytanie, poniewaz opieram sie na tlumaczeniu angielskim ze skromnego dosc opisu:

1. Jak skalibrowac uklad?
2. Jak ustawic posrednia, dla roznych emisji?
3. Do czego sluzy zlacze J4 opisane jako MODE?
4. Mam uklad CMOS, w opisie syntezy PA0KLT sa rozne konfiguracje dla roznych transformatorow wyjsciowych. Najodpowiedniejsza pod wykonana plytke wydaje mi sie Config 1. Jest tam rezystor oznaczony na schemacie 15E A2. Jaka ma wartosc?
5. Zlacze J2 TRX posiada Band 0 do Band 3. Czy potrzeba jakis rodzaj dekodera, zeby obsluzyc wszystkie pasma, ktore synterer oferuje? Jak odbywa sie zmiana BFO w przypadku zmiany emisji?
6. Syntezer generuje prostokat. Czy mozna zrobic z niego sinus, czy musze moj mieszacz zmienic na cyfrowy, wlaczajac w to mieszacz nadajnika?

Bede wdzieczny za wyczerpujaca odpowiedz. Jak cos, to sluze kolejnymi pytaniami :-)

Pozdrawiam,
Marcin
23-07-2012 21:55
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP5BMP Offline
Janusz
****

Liczba postów: 601
Dołączył: 02-11-2010
Post: #46
RE: Synteza 4Z5KY na Si570
1. Po włączeniu wyświetlacz pokazuje 14200 kHz, należy podłączyć licznik i kręcić enkoderem aż uzyskamy na wyjściu dokładnie 14200 kHz. Następnie zwieramy na chwilę jednokrotnie zworę z nogi 6 procesora do masy .
2. Po włączeniu zasilania pojawia się 4Z5KY, w tym czasie należy przytrzymać LOCK i wejdziemy w tryb ustawiania min. pośredniej.
3. J4 MODE podłącz woltomierz i zobacz jak na wyprowadzeniach zmieniają się stany przy zmianie emisji LSB/USB/CW.
4. Jest to rezystor 15 om. Proponuję wykonać wyjście jak w „config 2:
5. Sterowanie pasmami odbywa się w kodzie BCD , potrzebny dekoder np. 74LS145.
6. Można zrobić sinus po włączeniu np. filtru LPF, ale zrobisz to tylko dla jednego pasma.
Pozdrawiam, Janusz.
24-07-2012 7:53
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP3RAF Offline
Robert
***

Liczba postów: 111
Dołączył: 02-07-2009
Post: #47
RE: Synteza 4Z5KY na Si570
Znajomy pasjonat elektroniki zapytał mnie niedawno, jak podłączyć do układu Si570 w wersji LVDS, konwerter poziomów FIN1002. Odpowiedź jest uwidoczniona na schemacie. Być może, przyda się to również niektórym Kolegom z tutejszego Forum.
Karta katalogowa układu FIN1002 jest tu: http://www.fairchildsemi.com/ds/FI/FIN1002.pdf
Układ jest dostępny np. tutaj: http://www.sdr-kits.net/
To nie reklama, a jedynie źródło dla potrzebujących ;-)

73! de Robert SP3RAF


Załączone pliki Miniatury
İmage
(Ten post był ostatnio modyfikowany: 28-07-2012 1:23 przez SP3RAF.)
28-07-2012 1:21
Odwiedź stronę użytkownika Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP3RAF Offline
Robert
***

Liczba postów: 111
Dołączył: 02-07-2009
Post: #48
RE: Synteza 4Z5KY na Si570
Konwerter poziomów z LVDS na LVTTL na FIN1002 w moim przypadku wykonałem na małej płytce mieszczącej się w miejscu transformatora. Układ sprawuje się bardzo dobrze. Wypróbowałem go z Piligrimem. Sygnał jest czysty i nie stwierdzam żadnych "ptaków" podczas odbioru. Dodatkową płytkę i drobne przeróbki na płytce syntezy widać na zdjęciach.

73! de Robert SP3RAF


Załączone pliki Miniatury
İmage İmage
(Ten post był ostatnio modyfikowany: 31-07-2012 17:56 przez SP3RAF.)
31-07-2012 17:55
Odwiedź stronę użytkownika Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP3IQ Offline
Bogdan
****

Liczba postów: 281
Dołączył: 23-10-2011
Post: #49
RE: Synteza 4Z5KY na Si570
Postanowiłem też przystąpić do grupy użytkowników syntezy wg 4Z5KY. Wersja ta jest mało pracochłonna, w zasadzie można ją wykonać od zera, to jest od wykonania płytki do pełnego uruchomienia w jedno dłuższe popołudnie (przechodzące w wieczór). Synteza pod względem funkcji jest bardzo prosta, ale umożliwia przystosowanie do pracy w TRX zarówno do przemiany oraz do homodyny z mieszaczami cyfrowymi. Na przykład w stosunku do wersji PA0KLT, umożliwia precyzyjne zdefiniowanie częstotliwości pilotów dla CW, LSB i USB (w wersji PA0KLT dla SSB jest tylko +/- 3 KHz). Moja wersja bazuje na Si570 CMOS i chcę ją przystosować do DC01, tak więc trochę zmodyfikowałem wyjście Si570.
Jednak, po moich doświadczenaich z kolejnymi syntezami 4Z5KY, Oleg9, PA0KLT, DJ4LAJ/SP3SWJ, to z wielkim zainteresowaniem spoglądam na prace Adama SP5FCS. Już obecna wersja jest bardzo ciekawa, o klasę bardziej przydatna do naszych celów.
73 Bogdan, SP3IQ.
İmage

Po zapoznaniu się z tą syntezą, dla mnie podstawową wadą syntezy 4Z5KY jest brak drugiego VFO. Ustalanie częstotliwości pracy TRX-a jest w ramach tylko jednego VFO ! Tak więc praca z ekspedycją DX-ową (typowa praca split) jest niemożliwa. Teoretycznie można skorzystać z RIT (nie ma XIT) ale to jest raczej ekwilibrystyka do jednego QSO. Technika pracy taka: wołam DX-a na stałym QRG, kręcąc RIT-em obserwuję DX-a.
(Ten post był ostatnio modyfikowany: 23-08-2012 22:50 przez SP3IQ.)
23-08-2012 18:17
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
SP3IQ Offline
Bogdan
****

Liczba postów: 281
Dołączył: 23-10-2011
Post: #50
RE: Synteza 4Z5KY na Si570
Znalazłem niepublikowaną funkcję syntezy 4Z5KY. Jeśli przed wyłączeniem syntezy z zasilania, wykonamy BAND+ lub BAND-, to zostanie zapamiętana częstotliwość i emisja ostatnio używanego pasma. Po ponownym włączeniu, synteza zawsze wystaruje na paśmie 14 MHz, ale powracjąc do pasma na którym ostatnio pracowaliśmy, to synteza odtworzy QRG i emisję naszej pracy. W ten sposób można ustalić sobie ulubione częstotliwości i emisje startowe na każdym z pasm.
73 Bogdan, SP3IQ.
24-08-2012 11:25
Znajdź wszystkie posty użytkownika Odpowiedz cytując ten post
Odpowiedz 


Skocz do:


Użytkownicy przeglądający ten wątek: 1 gości